沼津工業高等専門学校 電子制御工学科
MIRS0402 Electronics 詳細設計書
MIRS0402-ELEC-0001
改訂記録
版数 作成日 作成者 承認 改訂内容
A01 2004.09.14 野村、渡邊、前田 前田 初版
A02 2004.11.25 野村、渡邊、前田 前田 ソフトウェアビジビリティの変更(I/O port address, ピン番号etc.)
製造仕様書をリンクして、作成ボードに関する記述を省略した
A03 2004.12.27 野村、渡邊、前田 前田 ソフトウェアビジビリティの変更(I/O port address, ピン番号etc.)
IOボードの各機能を省略した。
ドータボードのピン割り当て図を変更した。
A04 2004.2.10 野村、渡邊、前田 前田 FPGA回路データの添付

目次

  1. はじめに
  2. 概要
  3. 構成
    1. 概略ブロック図  
    2. 電源系統図 
    3.  
  4. 各部の詳細
    1. 標準MIRS基板
    2. IOボード  
      1. FPGA回路ブロック図
      2. 各ブロックの機能
      3. ソフトウェアビジビリティ
      4. 作成する基板
        1. アーム用モーターパワー制御ボード
        2. 電源ボード
        3. ドータボード

1.はじめに

本ドキュメントは、MIRS0402エレクトロニクス部の各回路基板の製造仕様書の作成に必要な事項を述べる。

2.概要

MIRS0402のエレクトロニクス部は、主としてAT互換シングルボードコンピュータとISAバスに接続されるIOボードおよびセンサ回路からなり、IO制御のための回路はそのほとんどがIOボード上のFPGAで実現される。またセンサ機能としてタッチセンサ、赤外線センサ、超音波センサ、白線センサ、及びロータリーエンコーダを有する。

3.構成

  1. 概略ブロック図
    図1に概略ブロック図を示す。
    図1.概略ブロック図

    図2.FPGA内部回路ブロック図

  2. 電源系統図
    図3に電源系統図を示す。
    図3.電源系統図

4.各部の詳細

  1. 標準MIRS基板
    標準MIRS基板の仕様についてはMIRSデータベースを参照のこと。

  2. I/Oボード
    1. FPGA回路ブロック図


    2. 各ブロックの機能
      標準MIRS IO制御ボードFPGA回路詳細設計書
      http://www2.denshi.numazu-ct.ac.jp/mirsdoc2/mirsstnd/ddsn/num0001a/mirs_std_fpga_design.html
      を参照してください。



    3. ソフトウェアビジビリティ
      IOポート仕様
      名称 IO port Address[hex] R/W Port Name 機能
      PWM
      信号生成
      0110 W PWM 0 PWM出力0信号制御
      bit 15-8 7 6 5 4 3 2 1 0
      機能 未使用 DIR(回転方向) Duty幅を7bitで 設定
      100%:1111111,0%:0000000
      0112 W PWM 1 PWM出力1信号制御
      bit 15-8 7 6 5 4 3 2 1 0
      機能 未使用 DIR(回転方向) Duty幅を7bitで 設定
      100%:1111111,0%:0000000
      Rotary
      Encoder
      0120 R/W RE_X ロータリエンコーダXの制御
      書き込み:0x01を書き込むことでカウント値がリセットされる。
      読み込み:16bitのカウンタデータが読み込まれる。リセットされない限りカ ウント値は積算される。順回転で加算され、逆回転で減算される。回転方向の 順、逆はロータリエンコーダの2相のパルスにより判別され、AがBより進んで いるとき順回転となる。負の値は2の補数表現であらわされる。
      bit 15-0
      機能 ロータリエンコーダパルスカウント値
      0122 R/W RE_Y ロータリエンコーダYの制御
      Xと同様
      超音波
      センサ
      0130 W USS_Send 超音波信号の送信
      このアドレスに書き込むことにより、下位2bitで示される超音波センサ送信器に40KHzの超音波を0.4ms間送信する。
      bit 15-2 1 0
      機能 未使用 センサ番号:0-3
      0132 W USS_Ctl 超音波受信信号割り込みの制御
      このアドレスに書き込むことにより、受信信号による割り込みのマスク、リ セットを行う。bit1に1を書き込とマスク、0でアンマスク。bit0に1を書き 込むとリセット。
      bit 15-2 1 0
      機能 未使用 Mask Reset
      汎用
      入力
      0140 R GIO デジタル入力
      4種類の入力信号をこのポートから読み込む。
      1. MP:モータ用電源のON/OFF
      2. WLS1-4:白線センサ1-4
      3. IRS1-4:赤外線センサ1-4(赤外線センサの有無のみ。コード判別は0x15Xポー トで設定し読み込む)
      4. TS1-5:タッチセンサ1-5
      bit 15 14-13 12-9 5-8 4-0
      機能 MP 未使用 WLS IRS TS
      4 3 2 1 4 3 2 1 5 4 3 2 1
      AMC 制御信号 0160 W AM_Ctl アームモータを制御する2bit信号
      アーム用モータの回転を制御しアームを出し引きさせる。
      bit
      15〜2
      1
      0
      機能
      未使用 M1
      M0

      M1 M0 動作説明
      0 0 アーム用モータを停止する。
      0 1 アーム用モータを逆回転させてアームを収納する。
      1 1 アーム用モータをブレーキする。
      1 0 アーム用モータを正回転させてアームを伸ばす。

      割り込みポート仕様
      IRQ番号 信号名称 機能
      5 USS_int 超音波検出の立ち上が りでsetされ、USS_Ctlに0x01を書き込むとリセットされる。



      FPGAピン割り当て(isaio.rptより)
      ** PIN/LOCATION/CHIP ASSIGNMENTS **

      Actual
      User Assignments
      Assignments (if different) Node Name 機能

      isaio@176 AMC_M0 アームモータ
      isaio@177 AMC_M1
      isaio@160 IRS1 赤外線センサー
      isaio@161 IRS2
      isaio@162 IRS3
      isaio@163 IRS4
      isaio@172 L_DIR 左モータ
      isaio@173 L_PWM
      isaio@195 OUT 超音波センサ受信ガードタイミング
      isaio@169 R_DIR 右モータ
      isaio@170 R_PWM
      isaio@203 RV0 超音波センサ受信信号
      isaio@200 RV1
      isaio@198 RV2
      isaio@196 RV3
      isaio@164 TS1 タッチセンサー
      isaio@166 TS2
      isaio@167 TS3
      isaio@168 TS4
      isaio@174 TS5_1
      isaio@175 TS5_2 FPGA回路でラッチする
      (ラッチ用ICを使用しない)
      isaio@204 U0 超音波センサ送信信号
      isaio@202 U1
      isaio@199 U2
      isaio@197 U3
      isaio@150 WS1 白線センサー
      isaio@157 WS2
      isaio@158 WS3
      isaio@159 WS4
      isaio@147 X_A ロータリーエンコーダー
      isaio@144 X_B
      isaio@149 Y_A
      isaio@148 Y_B


    4. 作成する基板


        1. アーム用モーター制御ボード

          アーム用モーター制御ボード製造仕様書(mirs0402/elec/num1101a/amcb.htm)を参照してください。

        2. 電源ボード(標準機のものを作り変える)

          電源ボード製造仕様書(mirs0402/elec/num1201a/pb.html)を参照してください。

        3. ドータボード

          ドータボード製造仕様書(mirs0402/elec/num1001a/db.html)を参照してください。