沼津高専 電子制御工学科
MIRS0804 FPGA製造仕様書
MIRS0804-ELEC-0004
改訂記録
版数 作成日 作成者 承認 改訂内容
A01 2009.2.12 伊井 浦田 初版



目次

1 目的
2 概要
3 詳細
3.1 赤外線コード識別回路の削除
3.2 アドレスデコーダの変更
3.3 アーム用モーター制御回路の追加
3.4 FPGAピン割り当て




1 目的

この文章はMIRS0804で用いるFPGAの回路データについて記述したものである。

↑目次へ

2 概要

MIRS0804におけるFPGAの回路データは設計仕様を満たすため、標準機のものから以下の点を変更してる。

↑目次へ

3 詳細

3.1 赤外線コード識別回路の削除

赤外線コード識別回路 "irs_code" は、標準MIRSにおいて、赤外線センサから取得したデータよりポスト番号を取得するモジュールである。
MIRS0804では白線センサを用いてポスト番号を取得するため、このモジュールは不要である。誤動作が生じないようにするためにも、このモジュールを削除した。
赤外線コード識別回路のモジュールの概観を下に示す。

fig.1 irs_code
fig.1 赤外線コード識別回路

3.2 アドレスデコーダの変更

MIRS0804では新たにモーター制御用の回路を追加するため、標準機から以下のように変更している("-"は削除したことを示す)。

IO port address[hex]変更前変更後
0150IRS_CODE1MTR_ADD
0152IRS_CODE2-
0154IRS_CODE3-
0156IRS_CODE4-

作成したアドレスデコーダの概観を下に示す。

fig.2 ADD_DEC
fig.2 アドレスデコーダ

3.3 アーム用モーター制御回路の追加

今回作成したアーム用モーター制御回路を以下に示す。

fig.3 mtr_m2
fig.3 赤外線コード識別回路

実際には以下のようにモジュール化し、全体の回路図 "isaio0804.gdf" に配置している。

fig.4 mtr_m
fig.4 アーム用モーター制御モジュール

3.4 FPGAピン割り当て

FPGAピン割り当てを以下に示す。

ピン番号名称IN/OUT機能
160 MTR1OUTアーム用モーター制御出力パルス
161 MTR2INアーム用モーター制御入力パルス
162 IRS3IN赤外線センサ信号3
163 IRS4IN赤外線センサ信号4

↑目次へ

関連文書
・ MIRSSTND 標準MIRS IO制御ボードFPGA詳細設計書(MIRSSTND-DDSN-0001
・ MIRSSTND 標準MIRS IO制御ボードFPGA回路データ(MIRSSTND-DDSN-0003