沼津高専 電子制御工学科
MIRS0202 FPGAボード アドレスデコーダ取扱説明書
MIRS0202-ELEC-1110
改訂記録
版数 作成日 作成者 承認 改訂内容
A01 2003.6.27 小野田 山田 初版
  • はじめに

    この仕様書は、MIRS0202のFPGAボード内のFPGAチップに構成するアドレスデコーダの取扱方法と機能詳細を記載したものである。

  • 取扱い説明

    1. Maxplus2での回路情報の呼び出し方法

      • ダウンロードファイル
        add_dec.vhd

      • 手順
        1. VHDLファイル add_dec.vhd をダウンロードします。
        2. maxplus2でテキストエディタを開きます。
        3. コンパイルする。

    2. 圧縮ファイルの内容

      add_dec.zip には以下のファイルが入っています。

      add_dec.vhd
      アドレスデコーダのVHDLファイルです。

  • 機能説明

    1. 機能概要

       MIRSATLM FPGAボードアドレスデコーダはISAバスから送られるアドレスにより、FPGAチップ内に構成される他のモジュールの選択を行うためのものであり、FPGAボード内のFPGAチップに構成される。

    2. 機能詳細

       アドレスデコーダに入力される8bitのアドレスSA8...1から(A8が最上位ビット)、FPGA内の各モジュールを選択するためのモジュールセレクタ信号を作り出し、出力する。アドレスとモジュールセレクタ信号の関係はTable.1を参照。モジュールセレクタ信号は"H"で有効となり、CPUと接続先のモジュールとの間で入出力処理を行うことができるようになる。また、FPGA内の各モジュールはいずれも16bitのデータ入出力を行うため、いずれかのモジュールセレクタ信号が有効になると、CPUに16bit入出力を行うことを知らせるためにIOCS16に"L"を出力する。いずれのモジュールセレクタも有効でない時、IOCS16はハイインピーダンスを出力する。(このモジュール内では"IOCS16"に'H'を出力して、モジュールの外で3-STATEを用いてハイインピーダンスを作り出す。)

      Table.1 アドレスとモジュールセレクタ信号の関係表
      アドレス 有効になるモジュールセレクタ信号 選択されるモジュール 選択される機能
      0000 0001 0000 00*0 LCD_ADD0 LCD_M 液晶ディスプレイボードのモジュールセレクタ。アドレスで * となっているところはアドレスをそのままLCD_Mに出力する。
      0000 0001 0001 0000 MPC_ADD0 MPC_M 右MPC(Motor Power Control)信号データの入出力
      0000 0001 0001 0010 MPC_ADD1 MPC_M 左MPC(Motor Power Control)信号データの入出力
      0000 0001 0100 0000 TP_ADD0 TP_M タッチセンサ、パワーオン信号の読み込み
      0000 0001 0100 0010 TP_ADD1 TP_M タッチセンサ、パワーオン信号処理モジュールの割り込み信号処理

    3. インターフェース

      Table 2 アドレスデコーダのインターフェース
      信号名
      信号の方向
      接続先
      機能
      B_SA[1...8] IN ISAバス アドレスバス
      IOCS16 OUT ISAバス 16bit I/Oサイクルに1ウェイトで応答
      LCD_ADD0 OUT LCD_M LCDモジュールセレクタ信号 0
      LCD_ADD1 OUT LCD_M B_SA1をそのまま出力
      MPC_ADD0 OUT MPC_M MPCモジュールセレクタ信号 0
      MPC_ADD1 OUT MPC_M MPCモジュールセレクタ信号 1
      TP_ADD0 OUT TP_M TPモジュールセレクタ信号 0
      TP_ADD1 OUT TP_M TPモジュールセレクタ信号 1
関連文書