沼津高専 電子制御工学科
MIRS0102 FPGAボード アドレスデコーダ取扱説明書
MIRS0102-ELEC-1106
改訂記録
版数 作成日 作成者 承認 改訂内容
A01 2002.11.14 マヨラン 山本ゆうき 初版
  • はじめに

    この仕様書は、MIRS0102のFPGAボード内のFPGAチップに構成するアドレスデコーダの取扱方法と機能詳細を記載したものである。

  • 取扱い説明

    1. Maxplus2での回路情報の呼び出し方法

      • ダウンロードファイル
        add_dec.zip 

      • 手順
        1. 圧縮ファイル add_dec.zip をダウンロードします。
        2. add_dec.zip を適当なフォルダで解凍します。
        3. maxplus2でグラフィックエディタを開きます。
        4. 解凍ファイルの中にあるadd_dec.sym をグラフィックエディタで部品として呼び出します。

    2. 圧縮ファイルの内容

      add_dec.zip には以下のファイルが入っています。

      add_dec.vhd
      アドレスデコーダのVHDLファイルです。
      add_dec.sym
      add_dec.vhd のブロックシンボルです。

  • 機能説明

    1. 機能概要

       MIRS0102 FPGAボードアドレスデコーダはISAバスから送られるアドレスにより、FPGAチップ内に構成される他のモジュールの選択を行うためのものであり、FPGAボード内のFPGAチップに構成される。

    2. 機能詳細

       アドレスデコーダに入力される16bitのアドレスSA[15..0](A15が最上位ビット)のうち、SA[15..4]とアドレスイネーブル信号(AEN信号)から、FPGA内の各モジュールを選択するためのモジュールセレクタ信号を作り出し、出力する。また、各モジュールで必要に応じてアドレスをデコードする。アドレスとモジュールセレクタ信号の関係はTable.1を参照。モジュールセレクタ信号は"H"で有効となり、CPUと接続先のモジュールとの間で入出力処理を行うことができるようになる。また、FPGA内の各モジュールはいずれも16bitのデータ入出力を行うため、いずれかのモジュールセレクタ信号が有効になると、CPUに16bit入出力を行うことを知らせるためにIOCS16に"L"を出力する。いずれのモジュールセレクタも有効でない時、IOCS16はハイインピーダンスを出力する。
      又上の記述の通り、MIRS0102のアドレスデコーダでは16bitすべてのアドレスをフルデコードしていない。

      Table.1 アドレスとモジュールセレクタ信号の関係表
      アドレス 有効になるモジュールセレクタ信号 選択されるモジュール 選択される機能
      0000 0001 0000 00*0 LCD_ADD LCD_M3 液晶ディスプレイボードのモジュールセレクタ信号。*となっているアドレスのときの信号はそのままLCD_M3に出力する。(これらの処理のアドレスの識別はLCD_M3でのデコードとなる。)
      0000 0001 0001 0000 MPC_ADD MPC_M 右MPC(Motor Power Control)信号データの入出力(右左のアドレスの識別はMPC_Mでのデコードとなる。)
      0000 0001 0001 0010 MPC_ADD MPC_M 左MPC(Motor Power Control)信号データの入出力(右左のアドレスの識別はMPC_Mでのデコードとなる。)
      0000 0001 0010 0000 RE_ADD RE_M ロータリーエンコーダ(右)のカウント値のリセット or 出力(右左のアドレスの識別はRE_Mでのデコードとなる。)
      0000 0001 0010 0010 RE_ADD RE_M ロータリーエンコーダ(左)のカウント値のリセット or 出力(右左のアドレスの識別はRE_Mでのデコードとなる。)
      0000 0001 0011 0000 USS_ADD USS_M 使用する超音波センサの選択と超音波送信信号の送信(割り込み信号処理とのアドレスの識別はUSS_Mでのデコードとなる。)
      0000 0001 0011 0010 USS_ADD USS_M 超音波センサモジュールの割り込み信号処理(センサの選択と超音波送信信号の送信処理とのアドレスの識別はUSS_Mでのデコードとなる。)
      0000 0001 0100 0000 TIP_ADD0 TIP_M タッチセンサ、パワーオン信号の読み込み
      0000 0001 0100 0010 TIP_ADD1 TIP_M タッチセンサ、パワーオン信号処理モジュールの割り込み信号処理
      0000 0001 0101 0000 IRS_ADD IRS_M 赤外線センサ(1)〜(5)の選択と符号化された12BITのパラレル信号の出力
      0000 0001 0110 0000 WLS_ADD WLS_M 白線検知センサの状態取得

    3. インターフェース

      Table 2 アドレスデコーダのインターフェース
      信号名
      信号の方向
      接続先
      機能
      B_SA[0...15] IN ISAバス アドレスバス
      B_AEN IN ISAバス バス開放
      IOCS16 OUT ISAバス 16bit I/Oサイクルに1ウェイトで応答
      LCD_ADD OUT LCD_M3 LCDモジュールセレクタ信号
      MPC_ADD OUT MPC_M MPCモジュールセレクタ信号
      RE_ADD OUT RE_M REモジュールセレクタ信号
      USS_ADD OUT USS_M USSモジュールセレクタ信号
      TS_ADD OUT TS_M タッチセンサモジュールセレクタ信号
      WLS_ADD OUT WLS_M 白線検知センサモジュールセレクタ信号
      PO_ADD OUT PO_M パワーオンモジュールセレクタ信号
      IRS_ADD OUT IRS_M IRSモジュールセレクタ信号
関連文書